VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l

来源:学生作业帮助网 编辑:六六作业网 时间:2024/05/02 10:16:07
VHDLif((conv_integer(sel)mod2)=''0'')can''tdeterminedefinitonofoperator""=""addr_get:process(clk)begini

VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l
VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""
addr_get:process(clk)
begin
if clk'event and clk = '1' then
if (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""
x1l

VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l
去掉‘’ 号,或者该“” 试试