Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential statLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bijiao ISPORT(A,B:IN BIT_VECTOR(3 DOWNTO 0)

来源:学生作业帮助网 编辑:六六作业网 时间:2024/05/22 21:54:48
Error(10500):VHDLsyntaxerroratbijiao.vhd(26)neartext"PROCESS";expectingasequentialstatLIBRARYIEEE;US

Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential statLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bijiao ISPORT(A,B:IN BIT_VECTOR(3 DOWNTO 0)
Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential stat
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY bijiao IS
PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0);
F1,F2,F3:OUT BIT);
END bijiao;
ARCHITECTURE behave OF bijiao IS
BEGIN
PROCESS(A,B)
BEGIN
IF(A>B) THEN
F1

Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential statLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bijiao ISPORT(A,B:IN BIT_VECTOR(3 DOWNTO 0)
问题是这样子的:
你在第二个分支时使用的是ELSE IF 而不是ELSIF.那么也就是说这个语句中有两个IF语句,你的最后的END IF只是针对最近的IF.所以建议你将第二个分支改成ELSIF就可以了:)