VHDL中'1'& f(17 downto 9) & f(8 downto 0) &

来源:学生作业帮助网 编辑:六六作业网 时间:2024/05/19 17:58:51
VHDL中''1''&f(17downto9)&f(8downto0)&VHDL中''1''&f(17downto9)&f(8downto0)&VHDL中''1''&f(17downto9)&f(8downto0

VHDL中'1'& f(17 downto 9) & f(8 downto 0) &
VHDL中'1'& f(17 downto 9) & f(8 downto 0) &

VHDL中'1'& f(17 downto 9) & f(8 downto 0) &
就是把各个元素连接起来
假设f(17 downto 0)=“010101010101010101”
运算之后就是把下面的连接起来:
1 010101010 101010101 1
形成10101010101010101011