跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分

来源:学生作业帮助网 编辑:六六作业网 时间:2024/04/28 02:17:56
跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分跪求一个利用VHDL语言设计

跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分
跪求一个利用VHDL语言设计一个4位向量乘法器的程序
是向量乘法器不是乘法器
追奖100分

跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分
library ieee;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY part6 IS
PORT(DATAA,DATAB:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
RESULT:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
END part6;
architecture BEHAVIOR OF part6 IS
COMPONENT MULTIPLIER_4BIT
PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
P:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END COMPONENT;
SIGNAL SUM12H,SUM12L:STD_LOGIC_VECTOR(11 DOWNTO 0);
SIGNAL SIN3,SIN2,SIN1,SIN0:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
UNIT1:MULTIPLIER_4BIT PORT MAP(A=>DATAA(3 DOWNTO 0),B=>DATAB(3 DOWNTO 0),P=>SIN0);
UNIT2:MULTIPLIER_4BIT PORT MAP(A=>DATAA(7 DOWNTO 4),B=>DATAB(3 DOWNTO 0),P=>SIN1);
UNIT3:MULTIPLIER_4BIT PORT MAP(A=>DATAA(3 DOWNTO 0),B=>DATAB(7 DOWNTO 4),P=>SIN2);
UNIT4:MULTIPLIER_4BIT PORT MAP(A=>DATAA(7 DOWNTO 4),B=>DATAB(7 DOWNTO 4),P=>SIN3);
SUM12L'0',S=>P(1),CO=>C1(0));
FA2:ADDER_1BIT PORT MAP(DA=>A(2) AND B(0),DB=>A(1) AND B(1),CI=>C1(0),S=>S1(0),CO=>C1(1));
FA3:ADDER_1BIT PORT MAP(DA=>A(3) AND B(0),DB=>A(2) AND B(1),CI=>C1(1),S=>S1(1),CO=>C1(2));
FA4:ADDER_1BIT PORT MAP(DA=>'0',DB=>A(3) AND B(1),CI=>C1(2),S=>S1(2),CO=>C1(3));
FA5:ADDER_1BIT PORT MAP(DA=>S1(0),DB=>A(0) AND B(2),CI=>'0',S=>P(2),CO=>C2(0));
FA6:ADDER_1BIT PORT MAP(DA=>S1(1),DB=>A(1) AND B(2),CI=>C2(0),S=>S2(0),CO=>C2(1));
FA7:ADDER_1BIT PORT MAP(DA=>S1(2),DB=>A(2) AND B(2),CI=>C2(1),S=>S2(1),CO=>C2(2));
FA8:ADDER_1BIT PORT MAP(DA=>C1(3),DB=>A(3) AND B(2),CI=>C2(2),S=>S2(2),CO=>C2(3));
FA9:ADDER_1BIT PORT MAP(DA=>S2(0),DB=>A(0) AND B(3),CI=>'0',S=>P(3),CO=>C3(0));
FA10:ADDER_1BIT PORT MAP(DA=>S2(1),DB=>A(1) AND B(3),CI=>C3(0),S=>P(4),CO=>C3(1));
FA11:ADDER_1BIT PORT MAP(DA=>S2(2),DB=>A(2) AND B(3),CI=>C3(1),S=>P(5),CO=>C3(2));
FA12:ADDER_1BIT PORT MAP(DA=>C2(3),DB=>A(3) AND B(3),CI=>C3(2),S=>P(6),CO=>P(7));
P(0)

跪求一个利用VHDL语言设计一个4位向量乘法器的程序是向量乘法器不是乘法器追奖100分 用VHDL语言设计编写一个异步清零的模9计数器 用VHDL语言 设计4位序列检测器,当检测到“0110”时输出1,否则输出0. 用VHDL设计8位减法器, 需判断一个16位的二进制数的奇偶性,在C语言中可以求余运算,那用VHDL怎么写? 用VHDL语言编写关于ALU设计单元的程序.要求:进行2个4位二进制数的运算.2、运算:A+B,A-B,A and B,A or 利用状态机的VHDL描述方法设计一个序列检测器,要求连续输入3个或3个以上的1时输出为1,否则为0.谁会啊! 利用状态机的VHDL描述方法设计一个序列检测器,要求8个数中,有3个或3个以上的1时输出为1,否则为0.急啊 用VHDL语言编写下列题目要求的程序.设计一个七人表决电路,参加表决者七人,同意为1,不同意为0,同意者过半表决通过,绿色指示灯灭.表决不通过则红指示灯亮. 用vhdl语言编写一个程序,要求测量方波频率,显示在4个数码管上.只要求写出测量方波频率的那一个模块的程 利用动物的“语言”,设计一个不用农药而能消灭害虫的有效办法 vhdl伪随机数求用vhdL语言编写一段产生1-7随机数字的程序 在VHDL语言中,我想定义一个i,用做循环计数使用,应该定义i为什么类型啊 VHDL 语言 q 设计一个C语言程序:给一个不多于5位的正整数,要求:求出它是几位数;分别输出每要求;求他几位数,分别输出每一位数字,按逆序输出个位数字 用VHDL设计一个2分频、3分频、16分频信号的分频电路,一个时钟输入,一个分频后的时钟信号输出,控制输出感激万分 C 语言中如何定义一个数字占4位 vhdl程序中位向量的操作运算,如+、- 应挂什么库?