Variable c:std_logic这句话是什么意思

来源:学生作业帮助网 编辑:六六作业网 时间:2024/05/14 05:16:29
Variablec:std_logic这句话是什么意思Variablec:std_logic这句话是什么意思Variablec:std_logic这句话是什么意思变量C:标准_逻辑.

Variable c:std_logic这句话是什么意思
Variable c:std_logic这句话是什么意思

Variable c:std_logic这句话是什么意思
变量C: 标准_逻辑.